当前位置: 东星资源网 > 优质范文 > 事迹推荐 > 正文

VHDL实验报告

时间:2020-11-21 11:39:13 来源:东星资源网

 专用集成电路实验报告

 1305 0Z 01

 1305 0 242 37 7

 刘德文

 实验一

 开发平台软件安装与认知实验

 实验内容 1 1 、

 本实验以三线八线译码器 (L S 741 38) ) 为例, ,在 在 Xilinx I S E 9 、2 2 软件平台上完成设计电路得 L VHDL 文本输入、语法检查、编译、仿真、管脚分配与编程下载等操作。下载芯片选择 Xil in n x 公司得 Coo lR unn e r II I 系列 XC2 C 25 6- - 7P P Q8 208 作为目标仿真芯片、

 2、

 用1中所设计得得三线八线译码器 (LS 74 4 1 38) 生成一个 LS7 48 138 元件, ,在 在 X X i linx IS E 9。2 2 软件原理图设计平台上完成 8 LS74138 元件得调用, , 用原理图得方法设计三线八线译码器 (LS74138), 实现编译, , 仿真, , 管脚分配与编程下载等操作。

 源程序:

 library IEEE; use IEEE。STD_LOGIC_1164.ALL; use IEEE。STD_LOGIC_ARITH。ALL; use IEEE。STD_LOGIC_UNSIGNED、ALL; -—

 Unment the following lines to use the declarations that are --

 provided for instantiating Xilinx primitive ponents、 --library UNISIM; --use UNISIM.Vponents。all; entity ls74138 is

== 试读已结束,如需继续阅读敬请充值会员 ==
本站文章均为原创投稿,仅供下载参考,付费用户可查看完整且有格式内容!
(费用标准:38元/月,98元/年,微信支付秒开通!)
升级为会员即可查阅全文 。如需要查阅全文,请 免费注册登录会员
标签: 实验 报告 VHDL
《VHDL实验报告.doc》
将本文的Word文档下载到电脑,方便收藏和打印
推荐度:

文档为doc格式

关于我们 | 网站地图 | 版权声明 | 联系我们 |

Copyright @ 2006 - 2024 dxf5.com All Rights Reserved

东星资源网 版权所有 友链、商务、投稿、客服、撤稿、投诉:QQ:179266880 邮箱:cptoo@163.com
《中华人民共和国电信与信息服务业务》 工业和信息化部 湘ICP备14009742号-43